Project Information c:\documents and settings\mkorzen\desktop\tec\pd1.rpt MAX+plus II Compiler Report File Version 10.2 07/10/2002 Compiled: 10/25/2007 23:29:29 Copyright (C) 1988-2002 Altera Corporation Any megafunction design, and related net list (encrypted or decrypted), support information, device programming or simulation file, and any other associated documentation or information provided by Altera or a partner under Altera's Megafunction Partnership Program may be used only to program PLD devices (but not masked PLD devices) from Altera. Any other use of such megafunction design, net list, support information, device programming or simulation file, or any other related documentation or information is prohibited for any other purpose, including, but not limited to modification, reverse engineering, de-compiling, or use with any other silicon devices, unless such use is explicitly licensed under a separate agreement with Altera or a megafunction partner. Title to the intellectual property, including patents, copyrights, trademarks, trade secrets, or maskworks, embodied in any such megafunction design, net list, support information, device programming or simulation file, or any other related documentation or information provided by Altera or a megafunction partner, remains with Altera, the megafunction partner, or their respective licensors. No other licenses, including any licenses needed under any third party's intellectual property, are provided herein. ***** Project compilation was successful Untitled ** DEVICE SUMMARY ** Chip/ Input Output Bidir Shareable POF Device Pins Pins Pins LCs Expanders % Utilized pd1 EPM7032LC44-6 14 3 0 3 0 9 % User Pins: 14 3 0 Device-Specific Information:c:\documents and settings\mkorzen\desktop\tec\pd1.rpt pd1 ***** Logic for device 'pd1' compiled without errors. Device: EPM7032LC44-6 Device Options: Turbo Bit = ON Security Bit = OFF d d 3 3 V G G G G G _ _ a C N N N N N y y 1 2 0 C D D D D D 0 1 -----------------------------------_ / 6 5 4 3 2 1 44 43 42 41 40 | d3_0 | 7 39 | y2 d2_2 | 8 38 | RESERVED d2_1 | 9 37 | RESERVED GND | 10 36 | RESERVED d2_0 | 11 35 | VCC d1_2 | 12 EPM7032LC44-6 34 | RESERVED d1_1 | 13 33 | RESERVED a1 | 14 32 | RESERVED VCC | 15 31 | RESERVED d0_0 | 16 30 | GND d0_1 | 17 29 | RESERVED |_ 18 19 20 21 22 23 24 25 26 27 28 _| ------------------------------------ d d R R G V R R R R R 0 1 E E N C E E E E E _ _ S S D C S S S S S 2 0 E E E E E E E R R R R R R R V V V V V V V E E E E E E E D D D D D D D N.C. = No Connect. This pin has no internal connection to the device. VCC = Dedicated power pin, which MUST be connected to VCC. GND = Dedicated ground pin or unused dedicated input, which MUST be connected to GND. RESERVED = Unused I/O pin, which MUST be left unconnected. Device-Specific Information:c:\documents and settings\mkorzen\desktop\tec\pd1.rpt pd1 ** RESOURCE USAGE ** Shareable External Logic Array Block Logic Cells I/O Pins Expanders Interconnect A: LC1 - LC16 0/16( 0%) 14/16( 87%) 0/16( 0%) 0/36( 0%) B: LC17 - LC32 3/16( 18%) 3/16( 18%) 0/16( 0%) 14/36( 38%) Total dedicated input pins used: 0/4 ( 0%) Total I/O pins used: 17/32 ( 53%) Total logic cells used: 3/32 ( 9%) Total shareable expanders used: 0/32 ( 0%) Total Turbo logic cells used: 3/32 ( 9%) Total shareable expanders not available (n/a): 0/32 ( 0%) Average fan-in: 6.00 Total fan-in: 18 Total input pins required: 14 Total output pins required: 3 Total bidirectional pins required: 0 Total logic cells required: 3 Total flipflops required: 0 Total product terms required: 12 Total logic cells lending parallel expanders: 0 Total shareable expanders in database: 0 Synthesized logic cells: 0/ 32 ( 0%) Device-Specific Information:c:\documents and settings\mkorzen\desktop\tec\pd1.rpt pd1 ** INPUTS ** Shareable Expanders Fan-In Fan-Out Pin LC LAB Primitive Code Total Shared n/a INP FBK OUT FBK Name 4 (1) (A) INPUT 0 0 0 0 0 3 0 a0 14 (10) (A) INPUT 0 0 0 0 0 3 0 a1 16 (11) (A) INPUT 0 0 0 0 0 1 0 d0_0 17 (12) (A) INPUT 0 0 0 0 0 1 0 d0_1 18 (13) (A) INPUT 0 0 0 0 0 1 0 d0_2 19 (14) (A) INPUT 0 0 0 0 0 1 0 d1_0 13 (9) (A) INPUT 0 0 0 0 0 1 0 d1_1 12 (8) (A) INPUT 0 0 0 0 0 1 0 d1_2 11 (7) (A) INPUT 0 0 0 0 0 1 0 d2_0 9 (6) (A) INPUT 0 0 0 0 0 1 0 d2_1 8 (5) (A) INPUT 0 0 0 0 0 1 0 d2_2 7 (4) (A) INPUT 0 0 0 0 0 1 0 d3_0 6 (3) (A) INPUT 0 0 0 0 0 1 0 d3_1 5 (2) (A) INPUT 0 0 0 0 0 1 0 d3_2 Code: s = Synthesized pin or logic cell t = Turbo logic cell + = Synchronous flipflop ! = NOT gate push-back r = Fitter-inserted logic cell Device-Specific Information:c:\documents and settings\mkorzen\desktop\tec\pd1.rpt pd1 ** OUTPUTS ** Shareable Expanders Fan-In Fan-Out Pin LC LAB Primitive Code Total Shared n/a INP FBK OUT FBK Name 41 17 B OUTPUT t 0 0 0 6 0 0 0 y0 40 18 B OUTPUT t 0 0 0 6 0 0 0 y1 39 19 B OUTPUT t 0 0 0 6 0 0 0 y2 Code: s = Synthesized pin or logic cell t = Turbo logic cell + = Synchronous flipflop ! = NOT gate push-back r = Fitter-inserted logic cell Device-Specific Information:c:\documents and settings\mkorzen\desktop\tec\pd1.rpt pd1 ** LOGIC CELL INTERCONNECTIONS ** Logic Array Block 'B': Logic cells placed in LAB 'B' +----- LC17 y0 | +--- LC18 y1 | | +- LC19 y2 | | | | | | Other LABs fed by signals | | | that feed LAB 'B' LC | | | | A B | Logic cells that feed LAB 'B': Pin 4 -> * * * | - * | <-- a0 14 -> * * * | - * | <-- a1 16 -> * - - | - * | <-- d0_0 17 -> - * - | - * | <-- d0_1 18 -> - - * | - * | <-- d0_2 19 -> * - - | - * | <-- d1_0 13 -> - * - | - * | <-- d1_1 12 -> - - * | - * | <-- d1_2 11 -> * - - | - * | <-- d2_0 9 -> - * - | - * | <-- d2_1 8 -> - - * | - * | <-- d2_2 7 -> * - - | - * | <-- d3_0 6 -> - * - | - * | <-- d3_1 5 -> - - * | - * | <-- d3_2 * = The logic cell or pin is an input to the logic cell (or LAB) through the PIA. - = The logic cell or pin is not an input to the logic cell (or LAB). Device-Specific Information:c:\documents and settings\mkorzen\desktop\tec\pd1.rpt pd1 ** EQUATIONS ** a0 : INPUT; a1 : INPUT; d0_0 : INPUT; d0_1 : INPUT; d0_2 : INPUT; d1_0 : INPUT; d1_1 : INPUT; d1_2 : INPUT; d2_0 : INPUT; d2_1 : INPUT; d2_2 : INPUT; d3_0 : INPUT; d3_1 : INPUT; d3_2 : INPUT; -- Node name is 'y0' -- Equation name is 'y0', location is LC017, type is output. y0 = LCELL( _EQ001 $ GND); _EQ001 = a0 & a1 & d3_0 # a0 & !a1 & d1_0 # !a0 & a1 & d2_0 # !a0 & !a1 & d0_0; -- Node name is 'y1' -- Equation name is 'y1', location is LC018, type is output. y1 = LCELL( _EQ002 $ GND); _EQ002 = a0 & a1 & d3_1 # a0 & !a1 & d1_1 # !a0 & a1 & d2_1 # !a0 & !a1 & d0_1; -- Node name is 'y2' -- Equation name is 'y2', location is LC019, type is output. y2 = LCELL( _EQ003 $ GND); _EQ003 = a0 & a1 & d3_2 # a0 & !a1 & d1_2 # !a0 & a1 & d2_2 # !a0 & !a1 & d0_2; -- Shareable expanders that are duplicated in multiple LABs: -- (none) Project Information c:\documents and settings\mkorzen\desktop\tec\pd1.rpt ** COMPILATION SETTINGS & TIMES ** Processing Menu Commands ------------------------ Design Doctor = off Logic Synthesis: Synthesis Type Used = Standard Default Synthesis Style = NORMAL Logic option settings in 'NORMAL' style for 'MAX7000' family DECOMPOSE_GATES = on DUPLICATE_LOGIC_EXTRACTION = on MINIMIZATION = full MULTI_LEVEL_FACTORING = on NOT_GATE_PUSH_BACK = on PARALLEL_EXPANDERS = off REDUCE_LOGIC = on REFACTORIZATION = on REGISTER_OPTIMIZATION = on RESYNTHESIZE_NETWORK = on SLOW_SLEW_RATE = off SOFT_BUFFER_INSERTION = on SUBFACTOR_EXTRACTION = on TURBO_BIT = on XOR_SYNTHESIS = on IGNORE_SOFT_BUFFERS = off USE_LPM_FOR_AHDL_OPERATORS = off Other logic synthesis settings: Automatic Global Clock = on Automatic Global Clear = on Automatic Global Preset = on Automatic Global Output Enable = on Automatic Fast I/O = off Automatic Register Packing = off Automatic Open-Drain Pins = on Automatic Implement in EAB = off One-Hot State Machine Encoding = off Optimize = 5 Default Timing Specifications: None Cut All Bidir Feedback Timing Paths = on Cut All Clear & Preset Timing Paths = on Ignore Timing Assignments = on Functional SNF Extractor = off Linked SNF Extractor = off Timing SNF Extractor = on Optimize Timing SNF = off Generate AHDL TDO File = off Fitter Settings = NORMAL Smart Recompile = off Total Recompile = off Interfaces Menu Commands ------------------------ EDIF Netlist Writer = off Verilog Netlist Writer = off VHDL Netlist Writer = off Compilation Times ----------------- Compiler Netlist Extractor 00:00:00 Database Builder 00:00:00 Logic Synthesizer 00:00:00 Partitioner 00:00:01 Fitter 00:00:00 Timing SNF Extractor 00:00:00 Assembler 00:00:00 -------------------------- -------- Total Time 00:00:01 Memory Allocated ----------------- Peak memory allocated during compilation = 3,279K